2008-01-01から1年間の記事一覧

竜王戦第7局二日目

http://live3.shogi.or.jp/ryuou/kifu/081217-h.html最後はすごい乱戦。将棋のタイトル戦では初の3連敗からの4連勝で永世竜王を決めた。2度目の7冠がかかっていれば羽生に風があったのだろうけど、チャンスがあったと見えただけに残念。逆に渡辺さんが勝つに…

竜王戦第7局

http://live.shogi.or.jp/ryuou/いよいよ初代永世竜王が決まる第7局。18手目の△5三銀右で控え室がわいた様子が容易に想像できる。第6局の再現。渡辺、羽生の決着をつけるにふさわしい戦型だろうと思う。すでにただごとではない場面になっている様子で一日目…

家族八景、七瀬ふたたび

NHKのドラマで「七瀬ふたたび」が非常によかったので原作を読んでみた。 http://www.nhk.or.jp/drama8/nanase/index.html ドラマと原作はキャラの位置づけなどが変わっている。七瀬3部作はもう一つあるのだけれど、そちらは未読。何というか原作の方が暗い。…

SUPERMARKET FANTASY

まだ全然聞き込んでないけどピックアップしてヘビーローテーションになっているのは「少年」 NHK「バッテリー」のテーマ曲になっていて、ラストのサビだけ聞いていた。まぁバッテリーが頭にあって聞いていたからなのだけれど、フルコーラスで聞いてみるとこ…

竜王戦第6局

さっき報ステで流れていたけど渡辺が勝って最終戦に持ち越された。羽生としてはつらい。渡辺は盛り返して正に五分に持ち込んだ感じ。もうすぐBSでダイジェストが流れるから見てくる。

W63CA PC連携

auのケータイはau Music PortができてからはデフォルトでPCとの連携がスムースになったと思う。機種変してからはLismo Portと変わっているがデータ移行も対応している。PCアプリ使いとしては使い勝手がいいとは思わないのだが、まぁPCになれていない人にはそ…

エソラ

Mステで披露されていた。旅立ちの歌、GIFT、HANABI、花の匂いと、メッセージ性の強い曲が続いていたけどちょっとポップな感じ。SUPERMARKET FANTASYリリースまであと二日。リリースが近いからか、テレビへの露出がすごい。昨日のMラバもエアチェックしてある…

竜王戦第5局

初代永世竜王誕生で注目の竜王戦だけど、3連敗でいきなり角番で不調を感じさせる渡辺。第4戦はBSのダイジェストでみて、昨日囲碁将棋ジャーナルで第5戦を見た。第2戦、3戦は羽生のよいところが印象に残ったが、1,4,5はどちらも凄味を感じさせる対局だと思…

W63CA EXILIM

約3年ぶりに機種変した。W41CAを手放したくなかったが、使用して2年すぎた頃から電池の持ちが悪くなったし、持ち歩くものなので傷も目立ってきた。機種変のタイミングを計っていたのだがプラットフォームの入れ替え時期で魅力的な端末が無く、先延ばしにして…

フレッツ光プレミアム(NTTW)導入

自宅にフレッツ光プレミアムを導入しました。参考になるかと思い自宅ネットワークを図に起こしてみた。改めてみると無線LANクライアントが異常に多い。まぁDSが一人に1つずつあるって言うのが大きいけどね。それにPSPとWiiだからコンシューマゲーム機だけで5…

昨日はラグドールの子をアップしたので今日はサイベリアン。引っ越してきて緊張したのか体重が落ちたけどそれでも家の中では一番の重量級です。

新居に引っ越し

事情があって引っ越しました。今までのマンションも歳のわりに広いマンションだったけど、今度は戸建てです。二階建てになったので飼い猫にとってはよかったのかも。引っ越し三日目にしてやっとなれてきたようです。リラックスして寝るうちの子

ミスチルファンと伊集院ファン

今週のPODCASTで僕らの音楽収録報告があった。やっぱり桜井はかなりのヘビーリスナーだという話だった。で伊集院はラジオの自分を知っている人とテレビで対談する事に戸惑って思った事の1/100しか話せなかったそうだ。まぁラジオ節全開だとテレビじゃ使…

中居はタイアップ?

僕らの音楽のゲストに伊集院を指名ってエントリ書いてから番組表チェックしていたら、11/21はミスチル×中居でやんの。これは「私は貝になりたい」の宣伝だよな。ほとんど間が空いていないけどいいのかな、、、。2週間後の伊集院と見比べるという、暗い楽しみ…

夢の対談

本日配信のPodcast伊集院光「深夜の馬鹿力」を聴いていると、「僕らの音楽」にミスチルの櫻井が出て、そのゲストに伊集院が呼ばれたらしい。自分にとっては夢の対談だけど、伊集院にしてみたら「何で俺が?」らしい。爆上問題の太田は櫻井と親しいらしくて、…

花の匂い

着うた配信限定の「花の匂い」がヘビーローテになっている。ケータイで聴くことは無いと思うけどとりあえずお布施の意味で着うたフルが配信になったらとっておくつもり。 ミスチルのうたにしては珍しく?!歌詞が非常に聞き取りやすい。GIFT,HANABIに続いて…

ドメイン

ドメインでログインした状態でcygwinを起動するとユーザが作られないようだ。ローカルログインだと特に意識しなくてもhomeが作られているので意識したこと無かったのだが。まだ調査中だけど関連しそうなところを貼っておくhttp://www.rouge.gr.jp/~fuku/tips…

GBA crt.o

crt.oだけど未だにはまっている。結局、初期化子付きの定義だけうまくいかない。通常の代入処理をすればOK。ただの変数であれば、2ステップになるだけだし、宣言と同時に初期化って、好きではないのでこれ自体はかまわない。しかし、配列や、構造体の初期化…

crt.o

やはりcrt.oとリンカスクリプトの問題だった。通常のコンパイラ使っている分には気にするところじゃないし、devkit-advでもやはり端から用意されているもの使っていれば、Cの感覚で使える。今のままだと初期化ができないので、テーブル設定が面倒くさくて仕…

crt.o

グローバル変数がうまく動作していないのは使用しているcrtのせいだった。今使っているcrtはエントリポイントをmainにするためだけのものになっている。グローバル変数が使えるようにするにはこいつをいじらなきゃならない。GBAdevのソースを参考にしてみよ…

 GBAプログラミング

GBAプログラミングを社内勉強会のネタにしようと思って、西田亙さんの(http://memo.wnishida.com/)「Linuxから目覚めるぼくらのゲームボーイ」を参照してコードを書き始めた。一つはまったことがあるのでメモっておく。BGがらみのレジスタを指すポインタを通…

 生家の見納め

七七忌合わせで実家に帰った。ずっと団地住まいで、兄弟はみな独立しているので親父が亡くなった後は退居することになる。それに建物そのものが建て替えとなるのですんでいた場所そのものが無くなってしまう。核家族化が進んでそういうケースが多くなってい…

イテレータパターン(リンクリスト版)

前回までBookShelfはBook*の配列として実装していた。これをリンクリストを使用したもので実装し直してみる。なお配列版と比較してmain側が変更してあるのは汎用性を高めるためである。実際に使用しているコードであるのならば、使用しているコードを優先す…

イテレータの利点

三日にわたって長々と説明してできたことは、たかだか配列をなめ回しただけじゃん、と思うかもしれない。main関数だけ見ればこれだけのことをするには、以下のコードで十分では?と思うだろう。 #include<stdio.h> #define ARRAY_SIZE_OF(array) ((sizeof(array)) / (</stdio.h>…

イテレータを使ったサンプルコードドライバ

#include <stdio.h> #include <stdlib.h> #include "BookShelf.h" int main(void) { BookShelf *pBookShelf; Iterator *pIte; Book *pBook; /* 本棚生成 */ pBookShelf = CreateBookShelf(4); /* 本棚に本を詰める */ AppendBook(pBookShelf, CreateBook("Around the World in a</stdlib.h></stdio.h>…

Cでイテレータパターン

シリーズもの今回はイテレータ構造体。まずはイテレータインターフェイスに当たるヘッダファイルIterator.h #ifndef ITERATOR_HEADER_FILE_INCLUDED__ #define ITERATOR_HEADER_FILE_INCLUDED__ /*! Iterator構造体 */ typedef struct Iterator_tag Iterator…

Cでイテレータパターン

まずはアグリゲータインターフェイスに当たるヘッダファイル。宣言している関数はイテレータインスタンスを生成して返すCreateIterator関数。戻り値がIterator*であるためIterator.hをインクルードしている。このIterator.hはhasNext()とnext関数の宣言がさ…

Cでオブジェクト指向っぽいことをする。

また社内勉強会用のネタ。C言語でオブジェクト指向プログラムを試みる。Cはオブジェクト指向プログラムをサポートしていないのでいろいろ面倒くさいことになる。またオブジェクト指向プログラムはポインタを使いまくることになるのでガベージコレクタが無いC…

言葉足らず

言ち足らん事や 一人足れい足れい 互に補なてぃる 年や老ゆる いいちたらんくとぅや ちゅいたれいだれい たげにうぎなてぃる とぅしやゆゆる 言葉足らずのことがあっても 一人で足して考えて 互いに補っていって 年をとりたいものだ

大動脈解離(大動脈乖離)

父の死因は大動脈解離と聞いていた。今頃になってこの病気を詳しく知りたくなったので調べてみた。こういうときはとりあえずWikipediaかな。http://ja.wikipedia.org/wiki/%E5%A4%A7%E5%8B%95%E8%84%88%E8%A7%A3%E9%9B%A2私の父親の場合、高血圧もちで血圧を…